Okuma görünümü

Yeni makaleler mevcut. Sayfayı yenilemek için tıklayın.

Intel’in Yeni Kozu Battlemage: Çıkış Tarihi, Özellikler ve Tüm Bilinenler

Intel Arc A750 vs NVIDIA RTX 3060

Hatırlayanlar olacaktır, Intel’in harici GPU arenasına giriş süreci bir hayli sorunlu olmuştu. “Arc Alchemist” kod adlı ekran kartları gecikmeli olarak piyasaya çıktı. Kısıtlı olarak piyasaya sürülmesi bir kenara, zaman zaman uyumluluk ve yazılım sorunları yaşandığını gördük. Mavi takım bu zaman diliminde bazı şeyleri rayına oturttu, hataları düzeltti ve çok tecrübe edindi. Şimdi ise tüm bu […]

Devamı: Intel’in Yeni Kozu Battlemage: Çıkış Tarihi, Özellikler ve Tüm Bilinenler
Kaynak Technopat

ASUS NUC 14 Pro Tanıtıldı

ASUS NUC 14 Pro

ASUS, CES 2024’te tanıtılan mini PC modelleri arasında yer alan ASUS NUC 14 Pro’nun resmi olarak piyasaya çıkışını duyurdu. ASUS NUC 14 Pro, üç yapay zekâ motoru tarafından desteklenen Intel Core Ultra 7 veya 5 işlemci sayesinde sınıfının en iyi performansını sunuyor. Üç yapay zekâ motorunu Grafik İşlem Birimi (GPU), Nöral İşlem Birimi (NPU) ve […]

Devamı: ASUS NUC 14 Pro Tanıtıldı
Kaynak Technopat

Intel, Gelişmiş Yapay Zeka Özelliklerine Sahip Lunar Lake İşlemcilerini Tanıttı

Intel, Gelişmiş Yapay Zeka Özelliklerine Sahip Lunar Lake İşlemcilerini Tanıttı

Intel, Vision 2024 etkinliğinde Lunar Lake işlemcilerini tanıttı. Başta bazı raporlar Lunar Lake’i geçtiğimiz yılın sonunda piyasaya sürülen Meteor Lake ile karıştırıyordu bu yüzden medyada da bir kafa karışıklığı yaşandı. Ancak daha sonra açıklığa kavuştu ki Lunar Lake öncülerinden farklı olarak LPDDR5x belleğe sahip olacak. Bu işlemciler Intel Core Ultra 2 serisinin bir bileşeni olan […]

Devamı: Intel, Gelişmiş Yapay Zeka Özelliklerine Sahip Lunar Lake İşlemcilerini Tanıttı
Kaynak Technopat

Intel LGA-1851 Soketini Tanıttı

Intel LGA-1851 Soketini Tanıttı

Intel, Embedded World 2024 etkinliğinde ortaklarıyla birlikte en son LGA-1851 soketini tanıttı. Bu soket Meteor Lake-PS için piyasaya sürülecek ve bu yılın ilerleyen dönemlerinde Arrow Lake-S için de kullanıma sunulacak. LGA-1851 soketi, tüketici sınıfı CPU’ların yerine farklı uygulamalar için uyarlanmış olan mobil/masaüstü CPU hibrit Meteor Lake-PS serisine entegre edilecek. LGA-1700 ile aynı boyutta (45×37.5mm) olmasına […]

Devamı: Intel LGA-1851 Soketini Tanıttı
Kaynak Technopat

Intel Yeni Nesil İşlemciler İçin Xeon 6 Markasını Tanıttı

Intel Yeni Nesil İşlemciler İçin Xeon 6 Markasını Tanıttı

Intel, Vision 2024 etkinliği sırasında Xeon işlemci serisinde önemli bir güncelleme duyurdu. Şirket, yakında piyasaya süreceği Granite Ridge ve Sierra Forest işlemcileri için yeni Xeon 6 markasını tanıttı. Bu değişiklik ile ürün portföyünü basitleştirmeyi ve müşterilerin Xeon serisini daha iyi anlamasını sağlamayı amaçlıyorlar. İlk olarak Sierra Forest ve Granite Ridge modelleri Xeon 6 etiketini kullanacak […]

Devamı: Intel Yeni Nesil İşlemciler İçin Xeon 6 Markasını Tanıttı
Kaynak Technopat

Intel, Gaudi 3 Yapay Zeka Çipini Duyurdu

Intel Gaudi 3

Intel, Gaudi 3 adını verdiği en yeni yapay zeka çipini tanıttı. Intel Gaudi 3, NVIDIA H100 GPU‘ya göre önemli performans ve verimlilik iyileştirmeleri vadediyor. Intel Gaudi 3 Özellikleri Intel’e göre Gaudi 3, H100’den iki kat daha fazla enerji verimli ve yapay zeka görevlerini bir buçuk kat daha hızlı gerçekleştirebiliyor. Bu geliştirilmiş verimlilik, büyük yapay zeka […]

Devamı: Intel, Gaudi 3 Yapay Zeka Çipini Duyurdu
Kaynak Technopat

Intel, 13. ve 14. Nesil İşlemcilerin Oyun Kararlılığıyla İlgili Sorunları Araştırmaya Başladı

Intel 13. ve 14. Nesil İşlemcilerin Oyun Kararlılığıyla İlgili Sorunları Araştırmaya Başladı

Intel, binlerce oyuncuyu etkileyen, oyun kararlılığı gibi sorunlarla karşılaşan 13. ve 14. nesil işlemcileriyle ilgili sorunları araştırmaya başladı. İlk raporlar 2022 yılında bu işlemcilerin piyasaya sürüldüğü zamanlarda ortaya çıkmış olsa da, sorunlar son zamanlarda gündeme geldi. Sorunların yazılım veya donanım kaynaklı olup olmadığını belirlemek için teknoloji topluluğu tarafından incelendi. Sorunun hem 13. hem de 14. […]

Devamı: Intel, 13. ve 14. Nesil İşlemcilerin Oyun Kararlılığıyla İlgili Sorunları Araştırmaya Başladı
Kaynak Technopat

Intel Yeni Performans Profillerine Sahip XeSS 1.3 Güncellemesini Yayınladı

Intel Yeni Performans Profillerine Sahip XeSS 1.3 Güncellemesini Yayınladı

Intel, XeSS olarak adlandırılan son teknolojisi Xe Super Sampling’in 1.3 sürümünü duyurdu. Bu güncelleme video oyunlarının daha sorunsuz çalışmasını sağlayan bir dizi iyileştirme getiriyor. XeSS’nin temelinde, düşük çözünürlüklü görüntüleri yüksek çözünürlüklü gibi gösteren akıllı bir algoritma bulunuyor. Bu sayede oyunlar daha hızlı çalışabiliyor ve daha kaliteli görünebiliyor. Şirket bu yeni sürümde teknolojilerini daha akıllı hale […]

Devamı: Intel Yeni Performans Profillerine Sahip XeSS 1.3 Güncellemesini Yayınladı
Kaynak Technopat

Intel Core Ultra 5 234V: İlk Lunar Lake Örneği

Intel Core Ultra 5 234V: Lunar Lake'in İlk Örneği

Lion Cove, Skymont ve Xe2 mimarilerini temel alan yeni düşük güç seri Lunar Lake’in Core Ultra 200 serisinin bir parçası olacağı yönünde söylentiler olsa da seriye hangi adlandırma şemasının uygulanacağı belli değildi. Intel daha önce Ice Lake gibi özel seriler için benzersiz adlandırma şemaları kullanmıştı. Ancak görünen o ki Intel işlerin basit ve tutarlı olmasına […]

Devamı: Intel Core Ultra 5 234V: İlk Lunar Lake Örneği
Kaynak Technopat

Intel PresentMon 2.0.0 Yayınlandı

Intel PresentMon 2.0.0

Intel tarafından PresentMon 2.0.0 çerçeve veri izleme ve analiz aracı kullanıcılara sunuldu. Intel PresentMon 2.0.0 kullanıcılara kare hızlarını gösterebiliyor, GPU ve CPU gibi temel performans ölçümlerini izleyebiliyor ve hem masaüstü bilgisayarlar hem de UWP uygulamaları için farklı grafik API’leri için kare süreleri ile gecikmeyi görüntüleyebiliyor. Intel PresentMon 2.0.0 birçok yeni özellik, düzeltme ve optimizasyon ile birlikte […]

Devamı: Intel PresentMon 2.0.0 Yayınlandı
Kaynak Technopat

Intel Game On WHQL Sürücüsü 31.0.101.5382 Yayınlandı

Intel Game On WHQL Sürücüsü 31.0.101.5382

Intel, desteklenen bağımsız grafik kartlarına ve işlemcilere sahip müşteriler için yeni bir Game On WHQL sürücüsü yayınladı. Intel Game On WHQL Sürücüsü 31.0.101.5382, öncekinden farklı olarak çok büyük performans iyileştirmeleri içermiyor ancak DirectX 12 modunda Horizon Forbidden West, Starfield ve 3DMark Speed Way’deki çökmeleri düzeltiyor. Intel Game On WHQL Sürücüsü 31.0.101.5382 Yenilikleri Düzeltilen Sorunlar: Intel […]

Devamı: Intel Game On WHQL Sürücüsü 31.0.101.5382 Yayınlandı
Kaynak Technopat

Intel ve AMD Anakartlar Arasındaki Fark Ne?

Anakart temel olarak bilgisayarımızın bel kemiğidir. Yalnızca işlemci, bellek ve PCIe bağlantılı aygıtların takılması için mekanik destek sağlamakla kalmaz, aynı zamanda bu bileşenlerin aralarında iletişim kurabilmesi için elektriksel bağlantılar da içerir. Şu anda bir masaüstü bilgisayar toplarken/alırken önümüze yalnızca iki seçenek çıkıyor: AMD ve Intel. Sistem toplarken ilk önce anakart/işlemci ikilisini seçmeliyiz ki sonrasında diğer […]

Devamı: Intel ve AMD Anakartlar Arasındaki Fark Ne?
Kaynak Technopat

6.2 GHz Hız Sunan Intel Core i9-14900KS Duyuruldu

Intel Core i9-14900KS

Intel, 14. nesil CPU serisinin yeni bir amiral gemisi  Core i9-14900KS masaüstü işlemcisini duyurdu. Intel Core i9-14900KS “masaüstü tutkunları için birinci sınıf oyun ve deneyim yaratma” amacıyla kutudan çıktığı haliyle 6,2 GHz “rekor kıran hızlara” sahip. Intel Core i9-14900KS Özellikleri Intel Başkan Yardımcısı Roger Chandler, resmi web sitesindeki bir gönderide şunları söyledi: “Intel Core i9-14900KS, […]

Devamı: 6.2 GHz Hız Sunan Intel Core i9-14900KS Duyuruldu
Kaynak Technopat

Intel Son Çeyrekte AMD ve Apple’ı Geride Bırakarak 50 Milyon CPU Sevkiyatı Gerçekleştirdi

Intel Son Çeyrekte AMD ve Apple'ı Geride Bırakarak 50 Milyon CPU Sevkiyatı Gerçekleştirdi

Intel, 2023’ün 4. çeyreğinde masaüstü ve dizüstü bilgisayar alanında 50 milyon CPU satarak hem AMD’yi hem de Apple’ı geride bırakarak güçlü bir performans sergiledi. Canalys’in 2023’ün 4. Çeyreği Küresel PC CPU pazarına ilişkin raporuna göre AMD 8 milyon ünite ve Apple 6 milyon ünite sattı, Intel rakiplerine önemli ölçüde fark attı. Raporda APAC ve EMEA’nın […]

Devamı: Intel Son Çeyrekte AMD ve Apple’ı Geride Bırakarak 50 Milyon CPU Sevkiyatı Gerçekleştirdi
Kaynak Technopat

Intel Lunar Lake Serisinin Meteor Lake’e Kıyasla Performansı %50 Artacak

Intel Lunar Lake Serisinin Meteor Lake'e Kıyasla Performansı %50 Artacak

Intel söylentilerinin güvenilir kaynaklarından Bionic_Squash’a göre, yeni nesil istemci platformunun bir parçası olan Lunar Lake’in, Meteor Lake-U’ya kıyasla %50 oranında önemli bir performans artışı sağlaması bekleniyor. İddia özellikle Cinebench R23 sürümü ve Cinebench 5.4.5 çok iş parçacıklı testlerine atıfta bulunuyor. MTL-U (15w)-> LNL(17w)Almost 1.5x MT perf increase (CB23, GB 5.4.5) — Bionic_Squash (@SquashBionic) March 10, […]

Devamı: Intel Lunar Lake Serisinin Meteor Lake’e Kıyasla Performansı %50 Artacak
Kaynak Technopat

Intel, ABD Ordusuna Çip Üretmek İçin 3,5 Milyar Dolarlık Anlaşma Yaptı

Intel, ABD Ordusuna Çip Üretmek İçin 3,5 Milyar Dolarlık Anlaşma Yaptı

CHIPS Yasası, Intel gibi Amerika Birleşik Devletleri’ndeki yarı iletken şirketlerinin genişleme planlarını desteklemek için 52 milyar dolarlık fon sağlayan bir yasaydı ve 2022 yılında kabul edildi. En büyük yarı iletken şirketlerinden biri olan Intel’in bu fonların önemli bir kısmını alması bekleniyordu. Son gelen haberler şirketin bu yasadan 10 milyar dolara kadar kazanç elde edeceğini gösteriyor. […]

Devamı: Intel, ABD Ordusuna Çip Üretmek İçin 3,5 Milyar Dolarlık Anlaşma Yaptı
Kaynak Technopat

ASUS IoT, 14. Nesil Intel İşlemcileri Destekleyen Endüstriyel Anakartlarını ve Sınır Yapay Zeka Bilgisayarlarını Duyurdu

ASUS IoT, 14. Nesil Intel İşlemcileri Destekleyen Endüstriyel Anakartlarını ve Sınır Yapay Zeka Bilgisayarlarını Duyurdu

Global AIoT çözümleri sağlayıcısı ASUS IoT bugün, yeni Intel Core (14. nesil) işlemcilerden güç alan yeni endüstriyel anakart ve sınır yapay zeka bilgisayarları serisini duyurdu. Son teknolojiyle donatılan bu çözümler üst düzey bilgi işlem performansı, gelişmiş güç verimliliği ve hızlı bağlantı seçenekleri sunarak birçok farklı endüstriyel uygulama alanında kullanılabiliyor. Bu yeni çözümlerin en önemli özellikleri […]

Devamı: ASUS IoT, 14. Nesil Intel İşlemcileri Destekleyen Endüstriyel Anakartlarını ve Sınır Yapay Zeka Bilgisayarlarını Duyurdu
Kaynak Technopat

Samsung Foundry SF3 Teknolojisinin İsmini SF2 Olarak Değiştirdi

Samsung SF3 Teknolojisinin İsmini SF2 Olarak Değiştirebilir

Samsung’un bilgisayar çipleri üretimine odaklanan bir bölümü olan Samsung Foundry, ikinci nesil 3 nm sınıfı üretim teknolojisinin adını değiştirmeye karar verdi. Daha önce SF3 olarak adlandırılıyordu ancak artık 2 nm sınıfı bir üretim sürecini temsil eden SF2 olarak bilinecek. Yeniden isimlendirmenin adlandırma sistemini basitleştirdiğine ve müşterilerin anlamasını kolaylaştırdığına inanılıyor. Bu kararın ardındaki neden, Samsung’un çip […]

Devamı: Samsung Foundry SF3 Teknolojisinin İsmini SF2 Olarak Değiştirdi
Kaynak Technopat

Intel, NPU Hızlandırma Kütüphanesini Açık Kaynak Olarak Sundu

Intel, NPU Hızlandırma Kitaplığını Açık Kaynak Olarak Sundu

Yazılımlarını en yeni Intel işlemcilere göre geliştirmek isteyenler NPU Hızlandırma adı verilen yeni bir kütüphaneden yararlanabilirler. Açık kaynak kodlu ve Python ile yazılan bu kitaplık, geliştiricilerin uygulamalarını Meteor Lake yongalarında bulunan Intel’in en yeni Sinir İşleme Birimi’ni (NPU) kullanarak optimize etmelerine yardımcı olmak için özel olarak tasarlandı. Şu anda başka hiçbir Intel CPU’nun NPU içermediğine […]

Devamı: Intel, NPU Hızlandırma Kütüphanesini Açık Kaynak Olarak Sundu
Kaynak Technopat

Samsung, Intel’in İşlemcileriyle Mobil Ağ ve Yeni Nesil vRAN Teknolojilerinde Standartları Yeniden Belirliyor

samsung intel

Samsung Electronics, geleceğin işlemcisi Intel Xeon (kod adı Granite Rapids-D) ile yapılan sektördeki ilk uçtan uca çağrısını laboratuvar ortamında başarıyla gerçekleştirdiğini duyurdu. Çağrı, Samsung’un v RAN 3.0 çözümüyle desteklenen sanallaştırılmış Open RAN ağı üzerinden yapıldı. Samsung ve Intel’in uzun yıllara dayalı iş birliğinin yeni kilometre taşı niteliğindeki bu başarı, şirketlerin gelişmiş performans ve kapasite sunmak […]

Devamı: Samsung, Intel’in İşlemcileriyle Mobil Ağ ve Yeni Nesil vRAN Teknolojilerinde Standartları Yeniden Belirliyor
Kaynak Technopat

OLED Ekranlı İş Bilgisayarı: ASUS Zenbook 14X OLED UX3405MA

ASUS Zenbook 14X UX3404V

Asus’un OLED ekranlı Zenbook 14X OLED UX3405MA model dizüstü iş bilgisayarını inceledik ve performansını test ettik. Asus Zenbook 14X OLED UX3405MA dizüstü bilgisayarın fiyat bilgisi için tıklayın. “Yüksek Pil Kapasitesi & İncelik Uyumu” Bildiğiniz gibi Asus’un Zenbook ailesi diğer serilere nazaran ince kasası, OLED ekranı ve diğer özellikleri ile dikkatleri üzerine çeken başarılı bir model […]

Devamı: OLED Ekranlı İş Bilgisayarı: ASUS Zenbook 14X OLED UX3405MA
Kaynak Technopat

💾

Asus'un OLED ekranlı Zenbook 14X OLED UX3404V model dizüstü iş bilgisayarını inceledik ve performansını test ettik.

Microsoft, Intel 18A Süreci ile Kendi Çipini Üretmek İçin Intel Foundry’yi Kullanacak

Microsoft Intel Foundry

Intel, çip üretim işinin Intel Foundry olarak yeniden adlandırıldığını duyurdu. Daha önce Intel Foundry Services olarak bilinen şirket, yenilenen işletmenin “yapay zeka çağı için dünyanın ilk sistem dökümhanesi” olduğunu iddia ediyor. Öte yandan Microsoft, Intel Foundry’nin açıklanan ilk müşterisi olmayacak. Intel, Microsoft’un kendi çipini oluşturabilmesi için Microsoft’un Intel 18A sürecini kendi dökümhanesinde kullanacağını söylüyor. Microsoft […]

Devamı: Microsoft, Intel 18A Süreci ile Kendi Çipini Üretmek İçin Intel Foundry’yi Kullanacak
Kaynak Technopat

Faraday, Intel ve Arm Teknolojileriyle 64 Çekirdekli SoC Üretecek

Faraday, Intel ve Arm Teknolojileriyle 64 Çekirdekli SoC Üretecek

Tayvanlı bir şirket olan Faraday Technology Corporation, 64 çekirdekli yeni bir SoC geliştirmeyi planladıklarını duyurdu. Faraday bu çipi üretmek için Intel’in gelişmiş 18A işlem teknolojisini kullanacak. Arm mimarisini temel alarak Arm Neoverse alt sistemlerini içerecek. Arm teknolojisi ve Faraday’ın tasarım uzmanlığı sayesinde güçlü ve enerji açısından verimli olacak, veri merkezleri ve 5G ağlarında kullanılmak için […]

Devamı: Faraday, Intel ve Arm Teknolojileriyle 64 Çekirdekli SoC Üretecek
Kaynak Technopat

Intel, Xbox İşlemcisi İçin Microsoft ile Anlaşmak İstiyor

Intel Gelecekteki Xbox'ın Çipini Üretmek İçin Microsoft ile Anlaşmak İstiyor

Intel, bir sonraki Microsoft Xbox konsolu için özel bir çip oluşturmak amacıyla Microsoft ile anlaşmak için gerçekten çok çalışıyor. Bu SoC’nin AMD tarafından Tayvan’da üretilen mevcut konsollardaki SoC’den farklı olduğunu belirtmekte fayda var. Intel, daha önce ilk Xbox üzerinde Microsoft ile çalışmıştı ancak AMD, hem Xbox hem de PlayStation konsolları için sözleşme üstüne sözleşme imzalamaya […]

Devamı: Intel, Xbox İşlemcisi İçin Microsoft ile Anlaşmak İstiyor
Kaynak Technopat

❌