Normal görünüm

Yeni makaleler mevcut. Sayfayı yenilemek için tıklayın.
Dünden önceki günAna akış

System on Chip (SoC) Nedir?

Tarafından: Fatih Işık
19 Nisan 2024 at 16:00

Teknoloji dünyasında ucu bucağı olmayan sayısız farklı terim var. Bunlardan bazılarını çok nadir duysak da bazıları sürekli karşımıza çıkıyor. System-on-Chip (SoC) de bunlardan biri. Kullandığımız cihazların içinde küçük veya büyük çipler var, evet. Ancak pek çok kullanıcı bu cihazlara hayat veren işlemcileri, küçük veya büyük yongaların detayını bilmiyor. Kullandığımız birçok cihaz, SoC şeklinde kısalttığımız sistemler […]

Devamı: System on Chip (SoC) Nedir?
Kaynak Technopat

iPhone 17 Pro TSMC’nin 2nm Süreciyle Üretilmiş Bir Yongaya Sahip Olabilir

Tarafından: Ali Keskin
11 Nisan 2024 at 10:30
iPhone 17 Pro TSMC 2nm Çip

Bugün DigiTimes tarafından yayınlanan yeni bir raporda iPhone 17 Pro modelinin TSMC’nin 2nm süreci üzerine inşa edilmiş yonga setine sahip ilk iPhone olacağı belirtildi. TSMC 2022 yılında 2nm süreci üzerinde çalışmaya başladığını duyurmuştu ve o günden beri iPhone 17 Pro’nun bu süreci kullanan bir yonga setine sahip ilk cihaz olacağı söyleniyordu. Bugün ise bir söylenti […]

Devamı: iPhone 17 Pro TSMC’nin 2nm Süreciyle Üretilmiş Bir Yongaya Sahip Olabilir
Kaynak Technopat

TSMC Yeni Çip Üretim Tesisi İçin ABD Hükümetinden 11,6 Milyar Dolar Fon Sağladı

Tarafından: Ahmet Yücedağ
9 Nisan 2024 at 18:00
TSMC Yeni Çip Üretim Tesisi İçin ABD Hükümetinden 11,6 Milyar Dolar Fon Sağladı

TSMC, ABD hükümetinden CHIPS Act kapsamında 11,6 milyar dolarlık fon sağlamayı başardı. Biden-Harris yönetimi, TSMC ile ön anlaşma imzalayarak önümüzdeki on yıl boyunca sürdürülebilir bir yarıiletken tedarik zinciri oluşturma yolunda önemli bir adım attığını duyurdu. Anlaşmaya göre ABD’de TSMC’ye ait üç yeni çip üretim tesisi kurulacak. İlk tesisin inşaatı zaten devam etmekte ve gelecek yılın […]

Devamı: TSMC Yeni Çip Üretim Tesisi İçin ABD Hükümetinden 11,6 Milyar Dolar Fon Sağladı
Kaynak Technopat

Qualcomm Snapdragon 7+ Gen 3 Duyuruldu! İşte Özellikleri

Tarafından: İlker Şekercioğlu
21 Mart 2024 at 19:30
Snapdragon 7+ Gen 3 Özellikleri

Bu haftanın başlarında Snapdragon 8s Gen 3 çipini duyuran Qualcomm şimdi ise Snapdragon 7+ Gen 3 ile karşımıza çıkmış durumda. İşte Snapdragon 7+ Gen 3 özellikleri. Snapdragon 7+ Gen 3 Özellikleri Snapdragon 7+ Gen 3, Snapdragon 7 serisinde cihaz üzerinde üretken yapay zeka özelliklerine sahip ilk çip olmasıyla dikkat çekiyor. Çip, Baichuan-7B, Llama 2, Gemini […]

Devamı: Qualcomm Snapdragon 7+ Gen 3 Duyuruldu! İşte Özellikleri
Kaynak Technopat

NVIDIA ve MediaTek, MediaTek Dimensity Auto Otomobil Çipi İçin İşbirliği Yaptı

Tarafından: İlker Şekercioğlu
19 Mart 2024 at 20:30
MediaTek Dimensity Auto

NVIDIA ve MediaTek arasındaki ortaklık, en yeni yapay zeka gelişmelerini şık elektrikli araç tasarımıyla kusursuz bir şekilde harmanlayarak, benzeri görülmemiş zeka seviyelerine sahip bir otomobil çağını başlatmayı vadediyor. Ortaklığın ilk meyvesi ise MediaTek Dimensity Auto olarak karşımıza çıkıyor. MediaTek Dimensity Auto Neler Sunuyor? MediaTek’in yeni Dimensity Auto Cockpit serisi, akıllı telefonunuza veya ev bilgisayarınıza rakip […]

Devamı: NVIDIA ve MediaTek, MediaTek Dimensity Auto Otomobil Çipi İçin İşbirliği Yaptı
Kaynak Technopat

NVIDIA GB200 Grace Blackwell Süperçip Tanıtıldı

Tarafından: İlker Şekercioğlu
19 Mart 2024 at 14:40
NVIDIA GB200 Grace Blackwell

NVIDIA, Grace CPU’nun yanı sıra yepyeni Blackwell B200 GPU’larını içeren en yeni DGX ve Süperçip platformlarını duyurdu. NVIDIA GB200 Grace Blackwell Süperçip, iki NVIDIA B200 Tensor Core GPU’yu 900 GB/sn ultra düşük güçlü NVLink çipten çipe bağlantı üzerinden NVIDIA Grace CPU’ya bağlıyor. NVIDIA GB200 Grace Blackwell Özellikleri En yüksek yapay zeka performansı için GB200 destekli […]

Devamı: NVIDIA GB200 Grace Blackwell Süperçip Tanıtıldı
Kaynak Technopat

Intel, ABD Ordusuna Çip Üretmek İçin 3,5 Milyar Dolarlık Anlaşma Yaptı

Tarafından: Ahmet Yücedağ
8 Mart 2024 at 19:14
Intel, ABD Ordusuna Çip Üretmek İçin 3,5 Milyar Dolarlık Anlaşma Yaptı

CHIPS Yasası, Intel gibi Amerika Birleşik Devletleri’ndeki yarı iletken şirketlerinin genişleme planlarını desteklemek için 52 milyar dolarlık fon sağlayan bir yasaydı ve 2022 yılında kabul edildi. En büyük yarı iletken şirketlerinden biri olan Intel’in bu fonların önemli bir kısmını alması bekleniyordu. Son gelen haberler şirketin bu yasadan 10 milyar dolara kadar kazanç elde edeceğini gösteriyor. […]

Devamı: Intel, ABD Ordusuna Çip Üretmek İçin 3,5 Milyar Dolarlık Anlaşma Yaptı
Kaynak Technopat

Microsoft, Intel 18A Süreci ile Kendi Çipini Üretmek İçin Intel Foundry’yi Kullanacak

Tarafından: İlker Şekercioğlu
22 Şubat 2024 at 15:45
Microsoft Intel Foundry

Intel, çip üretim işinin Intel Foundry olarak yeniden adlandırıldığını duyurdu. Daha önce Intel Foundry Services olarak bilinen şirket, yenilenen işletmenin “yapay zeka çağı için dünyanın ilk sistem dökümhanesi” olduğunu iddia ediyor. Öte yandan Microsoft, Intel Foundry’nin açıklanan ilk müşterisi olmayacak. Intel, Microsoft’un kendi çipini oluşturabilmesi için Microsoft’un Intel 18A sürecini kendi dökümhanesinde kullanacağını söylüyor. Microsoft […]

Devamı: Microsoft, Intel 18A Süreci ile Kendi Çipini Üretmek İçin Intel Foundry’yi Kullanacak
Kaynak Technopat

Samsung, 2nm Çip Siparişi Alan İlk Şirket Oldu

Tarafından: Ali Keskin
18 Şubat 2024 at 14:40
Samsung 2nm Çip

Samsung, yapay zeka alanında tanınmış şirketlerden biri olan Preferred Networks (PFN) ile önemli bir anlaşmaya vardı. Japon şirketin TSMC’den Samsung’a geçmesi herkesi şaşırttı. İki şirket arasındaki anlaşmayla 2nm üretim sürecine geçişin ilk adımları atılmış oldu. Yapılan anlaşma çerçevesinde Samsung, PFN için 2nm AI çipleri hazırlamaya başladı, ancak Güney Koreli şirketin 2nm üretim tekniğinin performansı henüz […]

Devamı: Samsung, 2nm Çip Siparişi Alan İlk Şirket Oldu
Kaynak Technopat

TSMC 3nm Çip Üretimini Arttırıyor

Tarafından: Ali Keskin
12 Şubat 2024 at 09:30
TSMC 3nm Çip Üretimi

Dünyanın önde gelen yonga üreticilerinden biri olan TSMC, 3nm yongalarının üretimini artırdı. Bu artırımın ürünleri için en yeni işlemcileri arayan teknoloji devlerinden gelen artan talep nedeniyle yapıldığı söyleniyor. 2023 yılında Apple, iPhone 15 Pro modelinde kullanılan 3nm çipler nedeniyle TSMC’nin birincil müşterisiydi. Ancak Qualcomm, MediaTek, NVIDIA ve Intel gibi büyük firmalar da çip siparişi verdiler. […]

Devamı: TSMC 3nm Çip Üretimini Arttırıyor
Kaynak Technopat

Faraday, Intel ve Arm Teknolojileriyle 64 Çekirdekli SoC Üretecek

Tarafından: Ahmet Yücedağ
7 Şubat 2024 at 10:00
Faraday, Intel ve Arm Teknolojileriyle 64 Çekirdekli SoC Üretecek

Tayvanlı bir şirket olan Faraday Technology Corporation, 64 çekirdekli yeni bir SoC geliştirmeyi planladıklarını duyurdu. Faraday bu çipi üretmek için Intel’in gelişmiş 18A işlem teknolojisini kullanacak. Arm mimarisini temel alarak Arm Neoverse alt sistemlerini içerecek. Arm teknolojisi ve Faraday’ın tasarım uzmanlığı sayesinde güçlü ve enerji açısından verimli olacak, veri merkezleri ve 5G ağlarında kullanılmak için […]

Devamı: Faraday, Intel ve Arm Teknolojileriyle 64 Çekirdekli SoC Üretecek
Kaynak Technopat

Samsung ve TSMC, 2nm Çip Üretimine Kendi Ülkelerinde Devam Edecek

Tarafından: Ali Keskin
5 Şubat 2024 at 15:00
Samsung Ve TSMC 2nm Çip

Önde gelen çip üreticileri Samsung ve TSMC şimdiden kendi 2nm üretim planlarını hazırlıyor. SCMP ve Korean Times yayınları tarafından yakın zamanda yayınlanan bir rapora göre Samsung önümüzdeki yıl Güney Kore’de 2nm çip üretimine başlamayı planlıyor. Şirket ayrıca 2047 yılına kadar Seul yakınlarında 13 çip fabrikası ve 2nm yonga üretiminin yapılacağı üç araştırma tesisinden oluşan bir […]

Devamı: Samsung ve TSMC, 2nm Çip Üretimine Kendi Ülkelerinde Devam Edecek
Kaynak Technopat

Çin, Çip Üretim Kapasitesini İki Katına Çıkarmayı Hedefliyor

Tarafından: Fatih Işık
12 Ocak 2024 at 20:45
ABD SMIC Yaptırım

Çip üretimi için büyük yatırımlar yapan Çin, yonga üretim kapasitesini önemli ölçüde artırmak üzere ilerliyor. Bloomberg‘in Barclays kaynaklı haberine göre önümüzdeki 5-7 yıl içerisinde üretim kapasitesi iki kattan fazla artacak. Bu büyüme mevcut piyasa tahminlerini aşıyor ve Çin’in yonga üretimi konusunda ne kadar istekli olduğunu gösteriyor. Bildiğiniz gibi Çin, dış kaynaklara olan bağlılığından kurtularak kendi […]

Devamı: Çin, Çip Üretim Kapasitesini İki Katına Çıkarmayı Hedefliyor
Kaynak Technopat

Silikon Piyangosu Nedir? İşlemciler Neden Birbirinden Farklı?

Tarafından: Fatih Işık
18 Aralık 2023 at 18:00

Daha önce bilgisayar topladıysanız, teknolojiye yakından ilgi duyuyorsanız veya overclock meraklılarından birisiyseniz bu ifadeyle daha önce karşılaşmış olmalısınız. Teknoloji dünyasında “silikon piyangosu” olarak çevirebileceğimiz “silicon lottery (veya silicon jackpot)” diye bir tabir var. Başta CPU’lar olmak üzere, üretilen işlemci türlerinin kalitesi birbirinden farklıdır; modelleri aynı olsa bile. Basitçe ifade etmek gerekirse, silikon piyangosu söylemiyle “aynı […]

Devamı: Silikon Piyangosu Nedir? İşlemciler Neden Birbirinden Farklı?
Kaynak Technopat

TSMC, 1.4nm ve 2nm Üretim Teknolojilerine Değindi

Tarafından: Fatih Işık
14 Aralık 2023 at 16:45

Adım adım 1nm çip üretim teknolojilerine yaklaşıyoruz. TSMC, IEEE Uluslararası Elektron Cihazlar Toplantısı (IEDM) sırasında 1.4nm sınıfı üretim teknolojisinin geliştirilme sürecinin devam ettiğini açıkladı. Şirket ayrıca 2nm sürecinde seri üretimin 2025’e kadar devam edeceğini tekrar vurguladı. SemiAnalysis’ten Dylan Patel tarafından yayınlanan slayda bakılırsa TSMC’nin 1.4nm teknolojisi A14 olarak isimlendiriliyor. Bir süredir gelişim süreci devam ediyor […]

Devamı: TSMC, 1.4nm ve 2nm Üretim Teknolojilerine Değindi
Kaynak Technopat

Son Yıllarda Binlerce Çinli Çip Şirketi Kepenk Kapattı

Tarafından: Fatih Işık
14 Aralık 2023 at 14:20
ABD SMIC Yaptırım

Çin topraklarında faaliyet gösteren çip şirketlerinin sayısı her geçen gün azalıyor. ABD hükümetinin yarı iletken sektörüne karşı olan yaptırımları 2019-2020 yıllarında başlamış, yıllar içinde giderek etkisini artırmıştı. Görünüşe bakılırsa 2022-2023 yıllarında çiplere olan talebin yavaşlamasıyla birlikte vaziyet daha da kötü bir hal aldı. DigiTimes‘a göre 2019’dan bu yana çip ile ilgili 22.000’den fazla firma ortadan […]

Devamı: Son Yıllarda Binlerce Çinli Çip Şirketi Kepenk Kapattı
Kaynak Technopat

Japonya, Çin ve Rusya’ya Bilgi Sızıntılarını Önlemek Amacıyla Önlemler Aldı

Tarafından: Fatih Işık
1 Aralık 2023 at 21:00

Japon hükümeti, Nikkei‘nin haberine bakılırsa yarı iletken ve diğer yüksek teknoloji sektörlerinde sübvansiyon alan şirketler için katı kurallar getirdi. Bu kurallar, önemli teknolojilerin başta Çin ve Rusya olmak üzere diğer ülkelere sızmasını engellemek üzere hazırlandı. Japonya’nın yaklaşımı ABD’nin CHIPS Yasası (CHIPS Act) sübvansiyonları ile yaptığına benziyor. ABD, ülke içinde yarı iletken üretimini artırmak ve teknolojik altyapıyı […]

Devamı: Japonya, Çin ve Rusya’ya Bilgi Sızıntılarını Önlemek Amacıyla Önlemler Aldı
Kaynak Technopat

NVIDIA CEO’su: “ABD’nin Bağımsız Çip Üretimi İçin En Az 20 Yıl Var”

Tarafından: Fatih Işık
30 Kasım 2023 at 20:30
Jensen Huang

NVIDIA CEO’su Jensen Huang, ABD’nin çip üretiminde kendine yetebilecek hale gelmesi için 20 yıllık süre gerektiğine inanıyor. Tayvan çip üretimi konusunda ilk sıralarda yer alan bir ülke. ABD ve Avrupa ise yarı iletken sektörünü yerelleştirmek, çip üretimini artırmak için büyük çabalar sarf ediyor. New York Times’ın DealBook konferansında konuşan Jensen Huang, “Tedarik zinciri bağımsızlığından on […]

Devamı: NVIDIA CEO’su: “ABD’nin Bağımsız Çip Üretimi İçin En Az 20 Yıl Var”
Kaynak Technopat

Rapor: Apple, ARM’ye Çok Düşük Telif Ücretleri Ödüyor

Tarafından: Fatih Işık
30 Kasım 2023 at 17:45
ARM Mac Thunderbolt desteği

Piyasa değeri bakımından dünyanın en büyük şirketi olan Apple, iş ortaklarıyla sıkı anlaşmalar yapan bir şirket olarak biliniyor. Teknoloji devi tedarikçileriyle yaptığı sözleşmelerde çetin pazarlıklar yapıyor, konumunun avantajlarından faydalanıyor. Tedarikçiler bir kenara, şirketin ARM ile şaşırtıcı derecede düşük telif hakkı oranlarıyla anlaştığı doğrulandı. Bildiğiniz gibi Apple, akıllı telefonlarından Mac Mini PC’lere, tabletlerden Mac dizüstü bilgisayarlara […]

Devamı: Rapor: Apple, ARM’ye Çok Düşük Telif Ücretleri Ödüyor
Kaynak Technopat

Samsung, Exynos İsimlendirmesini Kullanmaya Devam Edeceğini Açıkladı

Tarafından: Taha Berk Çelik
30 Kasım 2023 at 10:20
samsung exynos

Samsung, akıllı telefonlarında kullandığı çipset modellerinin yeniden isimlendirmesiyle alakalı olan tüm söylentilerin yanlış olduğunu belirtti. Söylentiye göre şirketin Exynos çiplerini yeni bir isimle piyasaya süreceği konuşuluyordu. Samsung ise çipleri yeniden adlandırmayı planlamadığını ve bu söylentilerin hepsinin yanlış olduğunu açıkladı. Şirket, Exynos serisi ile MediaTek ve Snapdragon çiplerle rekabet etmeye devam edecek. Söylentilerde yer alan “Dream […]

Devamı: Samsung, Exynos İsimlendirmesini Kullanmaya Devam Edeceğini Açıkladı
Kaynak Technopat

NVIDIA, Intel ve AMD’nin Mimari Detayları: Ada Lovelace, Alchemist, RDNA 3

Tarafından: Fatih Işık
30 Kasım 2023 at 10:00

Oyunlar, teknoloji, yazılımlar ve dünya hızla değişiyor. Teknoloji devleri de sürekli olarak ihtiyaçlara yanıt verebilmek, gidişata yön vermek, büyümek amacıyla büyük yatırımlar yapıyor, yeni mimariler üzerinde çalışıyor. Başlamadan not düşelim, grafik çipleri yalnızca oyunlar konusunda önemli değil: Yüksek hesaplama gerektiren yapay zeka, büyük araştırma projeleri, fotoğraf-video yazılımları ve daha birçok alanda kritik rol oynuyor. Şu […]

Devamı: NVIDIA, Intel ve AMD’nin Mimari Detayları: Ada Lovelace, Alchemist, RDNA 3
Kaynak Technopat

Çiplerde Saat Hızı Nedir? Frekanslar Neden Önemli?

Tarafından: Fatih Işık
26 Kasım 2023 at 15:39
işlemci saat hızı

Teknolojik bir cihaz satın almadan önce hepimiz biraz araştırma yapar, teknik özelliklere bakarız. Teknik özellik sayfalarında ürüne bağlı olarak birçok farklı terim bulunabilir ancak bazıları neredeyse her zaman gözümüze çarpar. Bugün bunlardan birine daha yakından bakacağız: Clock rate veya clock speed olarak bilinen saat hızı. Ayrıca bazen saat frekansı (clock frequency) olarak da isimlendiriliyor. Her […]

Devamı: Çiplerde Saat Hızı Nedir? Frekanslar Neden Önemli?
Kaynak Technopat

Intel ve TSMC’nin Almanya’daki Büyük Yatırımları Aksayabilir

Tarafından: Fatih Işık
25 Kasım 2023 at 17:15
TSMC ve Intel

Avrupa Birliği, 2030 yılına kadar uzanan planlarıyla çip üretimini artırmayı planlıyor. Avrupa ülkelerinin çip üreticilerini çekmek için sağladığı destekler bir süredir devam ediyor. Çok uluslu çip üreticilerinin Avrupa’da fabrika kurmak için alacakları sübvansiyonlar iki kaynaktan gelecek: Temmuz ayında onaylanan Avrupa Çip Yasası’na (European Chips Act) bağlı olarak topu şekilde Avrupa Birliği ve ayrı halde AB […]

Devamı: Intel ve TSMC’nin Almanya’daki Büyük Yatırımları Aksayabilir
Kaynak Technopat

AMD, Samsung’un 4nm Çip Teknolojilerinden Yararlanabilir

Tarafından: Fatih Işık
21 Kasım 2023 at 09:00

Samsung Foundry, DigiTimes‘ın aktardığı haberlere göre AMD’den önemli bir sipariş aldı. Yarı iletken üreticisi, 4nm sınıfı işlem teknolojilerini kırmızı takımın Zen 5c mikro mimarisine dayalı işlemciler üretmek için kullanacak. Eğer bilgiler doğruysa, bu AMD’nin üretim stratejisinde önemli bir dönüm noktasını temsil ediyor. Çip tasarımları yapan AMD, uzun yıllardır üretim için TSMC ile birlikte çalışıyordu. Raporda […]

Devamı: AMD, Samsung’un 4nm Çip Teknolojilerinden Yararlanabilir
Kaynak Technopat

❌
❌