Normal görünüm

Yeni makaleler mevcut. Sayfayı yenilemek için tıklayın.
Dünden önceki günAna akış

System on Chip (SoC) Nedir?

Tarafından: Fatih Işık
19 Nisan 2024 at 16:00

Teknoloji dünyasında ucu bucağı olmayan sayısız farklı terim var. Bunlardan bazılarını çok nadir duysak da bazıları sürekli karşımıza çıkıyor. System-on-Chip (SoC) de bunlardan biri. Kullandığımız cihazların içinde küçük veya büyük çipler var, evet. Ancak pek çok kullanıcı bu cihazlara hayat veren işlemcileri, küçük veya büyük yongaların detayını bilmiyor. Kullandığımız birçok cihaz, SoC şeklinde kısalttığımız sistemler […]

Devamı: System on Chip (SoC) Nedir?
Kaynak Technopat

NVIDIA Blackwell GPU Mimarisi Tanıtıldı

Tarafından: İlker Şekercioğlu
19 Mart 2024 at 14:00
NVIDIA Blackwell

NVIDIA, Hopper H100 GPU’lara kıyasla 5 kata kadar daha fazla yapay zeka performans artışı sağlayan yeni nesil Blackwell GPU mimarisini resmi olarak tanıttı. Yeni mimari 208 milyar transistör, 192 GB HBM3e bellek ve 8 TB/s bant genişliği ile öne çıkıyor. Blackwell, H100’den 7 ila 30 kat daha hızlı olan ve 25 kat daha az güç […]

Devamı: NVIDIA Blackwell GPU Mimarisi Tanıtıldı
Kaynak Technopat

OpenAI, Yonga Üreticisi Graphcore’u Satın Alabilir

Tarafından: İlker Şekercioğlu
19 Şubat 2024 at 16:00
OpenAI Graphcore

Birleşik Krallık merkezli yonga üreticisi Graphcore’un ARM, Softbank ve OpenAI dahil olmak üzere yeni alıcılar aradığı iddia ediliyor. Graphcore, makine öğrenimi ve yapay zeka işlerine güç sağlamak için Akıllı İşleme Birimi (IPU) yongaları geliştiren bir şirket. The Telegraph‘a göre şirket, ağır kayıpları karşılamaya yardımcı olabilecek potansiyel bir anlaşma için görüşmelerde bulunuyor. Şirketin ana sorunlarından biri, […]

Devamı: OpenAI, Yonga Üreticisi Graphcore’u Satın Alabilir
Kaynak Technopat

Çin, Çip Üretim Kapasitesini İki Katına Çıkarmayı Hedefliyor

Tarafından: Fatih Işık
12 Ocak 2024 at 20:45
ABD SMIC Yaptırım

Çip üretimi için büyük yatırımlar yapan Çin, yonga üretim kapasitesini önemli ölçüde artırmak üzere ilerliyor. Bloomberg‘in Barclays kaynaklı haberine göre önümüzdeki 5-7 yıl içerisinde üretim kapasitesi iki kattan fazla artacak. Bu büyüme mevcut piyasa tahminlerini aşıyor ve Çin’in yonga üretimi konusunda ne kadar istekli olduğunu gösteriyor. Bildiğiniz gibi Çin, dış kaynaklara olan bağlılığından kurtularak kendi […]

Devamı: Çin, Çip Üretim Kapasitesini İki Katına Çıkarmayı Hedefliyor
Kaynak Technopat

Silikon Piyangosu Nedir? İşlemciler Neden Birbirinden Farklı?

Tarafından: Fatih Işık
18 Aralık 2023 at 18:00

Daha önce bilgisayar topladıysanız, teknolojiye yakından ilgi duyuyorsanız veya overclock meraklılarından birisiyseniz bu ifadeyle daha önce karşılaşmış olmalısınız. Teknoloji dünyasında “silikon piyangosu” olarak çevirebileceğimiz “silicon lottery (veya silicon jackpot)” diye bir tabir var. Başta CPU’lar olmak üzere, üretilen işlemci türlerinin kalitesi birbirinden farklıdır; modelleri aynı olsa bile. Basitçe ifade etmek gerekirse, silikon piyangosu söylemiyle “aynı […]

Devamı: Silikon Piyangosu Nedir? İşlemciler Neden Birbirinden Farklı?
Kaynak Technopat

Son Yıllarda Binlerce Çinli Çip Şirketi Kepenk Kapattı

Tarafından: Fatih Işık
14 Aralık 2023 at 14:20
ABD SMIC Yaptırım

Çin topraklarında faaliyet gösteren çip şirketlerinin sayısı her geçen gün azalıyor. ABD hükümetinin yarı iletken sektörüne karşı olan yaptırımları 2019-2020 yıllarında başlamış, yıllar içinde giderek etkisini artırmıştı. Görünüşe bakılırsa 2022-2023 yıllarında çiplere olan talebin yavaşlamasıyla birlikte vaziyet daha da kötü bir hal aldı. DigiTimes‘a göre 2019’dan bu yana çip ile ilgili 22.000’den fazla firma ortadan […]

Devamı: Son Yıllarda Binlerce Çinli Çip Şirketi Kepenk Kapattı
Kaynak Technopat

NVIDIA CEO’su: “ABD’nin Bağımsız Çip Üretimi İçin En Az 20 Yıl Var”

Tarafından: Fatih Işık
30 Kasım 2023 at 20:30
Jensen Huang

NVIDIA CEO’su Jensen Huang, ABD’nin çip üretiminde kendine yetebilecek hale gelmesi için 20 yıllık süre gerektiğine inanıyor. Tayvan çip üretimi konusunda ilk sıralarda yer alan bir ülke. ABD ve Avrupa ise yarı iletken sektörünü yerelleştirmek, çip üretimini artırmak için büyük çabalar sarf ediyor. New York Times’ın DealBook konferansında konuşan Jensen Huang, “Tedarik zinciri bağımsızlığından on […]

Devamı: NVIDIA CEO’su: “ABD’nin Bağımsız Çip Üretimi İçin En Az 20 Yıl Var”
Kaynak Technopat

NVIDIA, Intel ve AMD’nin Mimari Detayları: Ada Lovelace, Alchemist, RDNA 3

Tarafından: Fatih Işık
30 Kasım 2023 at 10:00

Oyunlar, teknoloji, yazılımlar ve dünya hızla değişiyor. Teknoloji devleri de sürekli olarak ihtiyaçlara yanıt verebilmek, gidişata yön vermek, büyümek amacıyla büyük yatırımlar yapıyor, yeni mimariler üzerinde çalışıyor. Başlamadan not düşelim, grafik çipleri yalnızca oyunlar konusunda önemli değil: Yüksek hesaplama gerektiren yapay zeka, büyük araştırma projeleri, fotoğraf-video yazılımları ve daha birçok alanda kritik rol oynuyor. Şu […]

Devamı: NVIDIA, Intel ve AMD’nin Mimari Detayları: Ada Lovelace, Alchemist, RDNA 3
Kaynak Technopat

Çiplerde Saat Hızı Nedir? Frekanslar Neden Önemli?

Tarafından: Fatih Işık
26 Kasım 2023 at 15:39
işlemci saat hızı

Teknolojik bir cihaz satın almadan önce hepimiz biraz araştırma yapar, teknik özelliklere bakarız. Teknik özellik sayfalarında ürüne bağlı olarak birçok farklı terim bulunabilir ancak bazıları neredeyse her zaman gözümüze çarpar. Bugün bunlardan birine daha yakından bakacağız: Clock rate veya clock speed olarak bilinen saat hızı. Ayrıca bazen saat frekansı (clock frequency) olarak da isimlendiriliyor. Her […]

Devamı: Çiplerde Saat Hızı Nedir? Frekanslar Neden Önemli?
Kaynak Technopat

NAND ve eMMC Nedir? Flash Bellekler Nasıl Çalışır?

Tarafından: Fatih Işık
25 Ekim 2023 at 10:30

“Flash bellek” denildiğinde genellikle akıllara bilgisayarlara takılan USB bellekler gelir. Ancak “flash yongalar” neredeyse depolama kelimesinin geçtiği her yer var: USB belleğinizde, fotoğraf makinenizin SD kartında, SSD’lerde (katı hal sürücüler), hastane tıbbi ekipmanlarında, endüstriyel robot makinelerde ve daha sayısız aygıtta. Gelin şimdi flash bellekleri birlikte inceleyelim, iki yaygın bellek türü olan NAND ve eMMC’nin arasındaki […]

Devamı: NAND ve eMMC Nedir? Flash Bellekler Nasıl Çalışır?
Kaynak Technopat

AMD Yöneticisi: “Intel’in Dökümhane Servisi Başarısız Olmaya Mahkum”

Tarafından: Fatih Işık
7 Ekim 2023 at 15:40

Üst düzey bir AMD yöneticisi, Pat Gelsinger yönetimindeki Intel‘de uygulanan bazı stratejik adımların yanlış yönlendirildiğini ima etti. Yöneticinin bahsettiği konu Intel’in dökümhane koluyla ilgili. Mavi dev, Intel Foundry Services (IFS) aracılığıyla şirket dışındaki markalar için çip üretmeye karar vermişti. Stratejik ortaklıklardan sorumlu başkan yardımcısı ve AMD EMEA başkanı Darren Grasby, Intel’in diğer şirketler için sözleşmeli […]

Devamı: AMD Yöneticisi: “Intel’in Dökümhane Servisi Başarısız Olmaya Mahkum”
Kaynak Technopat

Çin’in İlk 28nm Litografi Ekipmanı Bu Yıl Teslim Edilecek

Tarafından: Fatih Işık
6 Ekim 2023 at 18:20

Çin’in en başarılı litografi tarayıcı üreticisi olan Shanghai Micro Electronics Equipment (SMEE), çeşitli kaynaklardan gelen bilgilere göre şirketlerin 28nm sürecinde çip üretmesine olanak tanıyan ilk tarayıcısını üretmeyi başardı. İlk litografi ekipmanlarının yıl bitmeden önce teslim edilmesi planlanıyor. SMEE için SSA/800-10W tarayıcısı bir atılım niteliği taşıyor zira şirketin bugün sahip olduğu en gelişmiş tarayıcı yalnızca 90nm […]

Devamı: Çin’in İlk 28nm Litografi Ekipmanı Bu Yıl Teslim Edilecek
Kaynak Technopat

Moore Yasası Nedir? Çiplerin Geleceği Nasıl Şekillenecek?

Tarafından: Fatih Işık
29 Eylül 2023 at 16:40

Teknoloji dünyasıyla biraz olsun yakından ilgileniyorsanız zaman zaman “Moore Yasası (Moore Kanunu)” şeklinde tanımlanan tuhaf bir tabir görmüş olabilirsiniz. Bu konu doğrudan yarı iletkenlerle alakalı. Peki yarı iletken sektöründe neden sürekli konuşulan “bir yasa” var? Moore’s Law olarak bilinen Moore Yasası, şirket ve kişiler farketmeksizin yıllar boyunca teknoloji meraklılarının takip ettiği bir olgudur. İsmi neden […]

Devamı: Moore Yasası Nedir? Çiplerin Geleceği Nasıl Şekillenecek?
Kaynak Technopat

Qualcomm’un Gerisinde: Apple’ın Modem Üretme Girişimi Başarısız Oldu

Tarafından: Fatih Işık
26 Eylül 2023 at 14:30

Apple, yeni nesil iPhone modellerinde şirket içi modemler (çip) kullanmak amacıyla büyük bir proje başlatmıştı. Projeyi sonuçlandırabilmek için şirket yıllarca çaba ve milyarlarca dolar para harcadı. Ancak Wall Street Journal‘e göre teknoloji devinin iddialı projesi başarısız oldu. WSJ’ye göre şirket, 2019 yılında Intel’in akıllı telefon modem birimini satın almak için 1 milyar dolar harcadı. Böylelikle […]

Devamı: Qualcomm’un Gerisinde: Apple’ın Modem Üretme Girişimi Başarısız Oldu
Kaynak Technopat

TSMC, 2nm Çip Üretimini 2026 Yılına Erteleyebilir

Tarafından: Fatih Işık
26 Eylül 2023 at 13:45
TSMC

Tayvanlı tedarik zinciri kaynaklardan gelen bilgilere bakılırsa TSMC’nin üretim programında bazı aksaklıklar yaşanıyor. Yarı iletken devi, 2nm seri çip üretimine planlandığı gibi 2025 yılında değil, 2026 yılında başlayabilir. TechNews.tw‘nin haberine göre oldukça önemli bir tesis olan Hsinchu Baoshan Fab 20’deki inşaat “yavaşlamaya başladı”. Bu yavaşlamanın TSMC’nin aldığı düşük siparişlere ve durgun talep ile alakalı olduğu […]

Devamı: TSMC, 2nm Çip Üretimini 2026 Yılına Erteleyebilir
Kaynak Technopat

Intel, Dünyanın UCIe Bağlantılı İlk Çipini Tanıttı

Tarafından: Fatih Işık
26 Eylül 2023 at 09:00

Intel CEO’su Pat Gelsinger, Innovation 2023 etkinliğinde dünyanın ilk UCIe bağlantısına sahip çiplet tabanlı test çipi olan Pike Creek’i sergiledi. Test platformu, gelişmiş TSMC N3E süreciyle üretilen bir Synopsys UCIe IP çipi ve Intel 3 (7nm) süreciyle üretilen bir Intel UCIe çipine sahip. İki ayrı çiplet (yongacık) ayrıca Intel’in EMIB arayüzü üzerinden birbiriyle iletişim kuruyor. […]

Devamı: Intel, Dünyanın UCIe Bağlantılı İlk Çipini Tanıttı
Kaynak Technopat

Intel, AMD 3D V-Cache Teknolojisine Yanıt Vermeye Hazırlanıyor

Tarafından: Fatih Işık
21 Eylül 2023 at 17:30

Intel’in Innovation 2023 etkinliği oldukça verimli geçiyor. Meteor Lake mimarisinin detaylı tanıtımının yanı sıra, gelecek yıllarda piyasaya çıkacak olan Arrow Lake, Lunar Lake ve Panther Lake işlemcilere dair bazı bilgiler sağlanmıştı. Şirketin patronu Pat Gelsinger, etkinlikte basınla bir soru-cevap oturumu düzenledi. Konuşulan en önemli konulardan birisi de 3D çip teknolojileriydi. Gelsinger’e Intel’in tıpkı AMD’nin 3D […]

Devamı: Intel, AMD 3D V-Cache Teknolojisine Yanıt Vermeye Hazırlanıyor
Kaynak Technopat

Intel, Çip Üretiminde Cam Alt Katmanlar Kullanmaya Başlayacak

Tarafından: Fatih Işık
21 Eylül 2023 at 12:45

Intel, önümüzdeki birkaç yıllarda gelişmiş çip paketleme teknolojilerinde cam alt tabakalar kullanacağını resmen doğruladı. Cam alt tabakaların üstün mekanik, fiziksel ve optik özelliklere sahip olduğunu belirten şirket, yeni çözümlerin öncelikle veri merkezlerini hedefleyen yüksek performanslı çok çipli paket içi sistemlerde (SiP’ler) kullanılacağını söylüyor. Teknoloji devi, cam alt tabakaların özellikle birden fazla silikon parçasını barındıran ultra […]

Devamı: Intel, Çip Üretiminde Cam Alt Katmanlar Kullanmaya Başlayacak
Kaynak Technopat

Çipler Nasıl Paketleniyor? Intel’in Malezya Fabrikasını Ziyaret Ettik

Tarafından: Fatih Işık
17 Eylül 2023 at 19:00

Intel, hem çip tasarımı hem de üretimi yapan özel ve ayrıca oldukça büyük bir şirket. Doğal olarak böyle bir bir silikon devi her gün kapılarını yabancılara açmıyor. Geleceğin teknolojileri gizli kapaklı odalarda, laboratuvarlarda üretiliyor ve test ediliyor. Kafa Ayarı sponsorumuz TurkNet’in bölgenizde sunduğu hızı sorgulamak için tıklayın. Şirket kısa süre öncesinde Malezya’daki fabrikasının kapılarını teknoloji […]

Devamı: Çipler Nasıl Paketleniyor? Intel’in Malezya Fabrikasını Ziyaret Ettik
Kaynak Technopat

💾

Intel'in Malezya'daki çip sınıflandırma ve paketleme fabirkalarını gezdik. İşte Meteor Lake işlemciler böyle hazırlanıyor.

Samsung, ABD’de 4nm Üretimiyle TSMC’ye Üstünlük Kurmayı Planlıyor

Tarafından: Fatih Işık
11 Eylül 2023 at 11:00

Yarı iletken sektörüne büyük yatırımlar yapan Samsung, nihayet yatırımlarının meyvelerini alıyor. TrendForce’un verilerine göre şirketin sözleşmeli yonga üretim birimi pazar payı kazanıyor ve gelirini artırıyor. Ayrıca şirket, TSMC‘nin Arizona’daki fabrikasından önce 2024 yılı sonuna kadar ABD’de 4 nanometre sınıfı süreç teknolojisiyle seri çip üretimine başlama yolunda ilerliyor. Samsung’un eş CEO’su Kyung Kye-hyun, Seul Ulusal Üniversitesi’nde […]

Devamı: Samsung, ABD’de 4nm Üretimiyle TSMC’ye Üstünlük Kurmayı Planlıyor
Kaynak Technopat

Çin, Çip Ekipman Üreticilerini Desteklemek İçin 41 Milyar Dolarlık Fon Kuruyor

Tarafından: Fatih Işık
6 Eylül 2023 at 16:10

Reuters‘in haberine göre Çin, yerli yarı iletken endüstrisinin gelişimini finanse etmek için bir yatırım fonu daha kurmaya hazırlanıyor. Yeni fon, wafer (silikon disk plaka) fab ekipmanı geliştiren ve üreten şirketlere yatırım yapmak için 40 milyar dolarlık bütçeye ulaşacak. Çin son yıllarda yarı iletken sektörüne büyük önem veriyor, tamamen bağımsız olmak istiyor. Bu kolay bir iş […]

Devamı: Çin, Çip Ekipman Üreticilerini Desteklemek İçin 41 Milyar Dolarlık Fon Kuruyor
Kaynak Technopat

Çin, Kısa Sürede 5 Milyar Dolarlık Çip Üretim Ekipmanı Tedarik Etti

Tarafından: Fatih Işık
25 Ağustos 2023 at 20:40

Çin, ABD ve müttefiklerinin son ihracat kısıtlamalarına hazırlanırken mümkün olduğu kadar yarı iletken ekipmanı tedarik etmeye çalışıyor. Son aylarda özellikle Hollanda ve Japonya’dan yarı iletken ekipman ithalatında dikkat çekici, rekor kıran bir artış görüldü. Financial Times‘ın haberine göre, Çinli şirketler öncelikle Batı yaptırımlarının kapsamadığı gelişmiş ve olgun süreç teknolojilerine odaklanarak çip üretiminin sorunsuz bir şekilde […]

Devamı: Çin, Kısa Sürede 5 Milyar Dolarlık Çip Üretim Ekipmanı Tedarik Etti
Kaynak Technopat

Yüksek Kapasiteli SSD’lerin Kapısı Aralanıyor: 321 Katmanlı TLC NAND Bellek Tanıtıldı

Tarafından: Fatih Işık
13 Ağustos 2023 at 12:30
Sk Hynix 176 Katmanlı 4D NAND Bellek

Bellek işinde uzmanlaşan SK Hynix, Flash Memory Summit 2023’te 321 katmanlı TLC NAND belleğini ilk defa sergiledi. Bu yeni flaş türü 2025 yılında seri üretime girecek, ancak şirket geleceğe hazır olduğunu göstermek için şimdiden müşterileri ve teknoloji severlere bir sunum yaptı. Gösterilen bellek yongası 1Tb kapasiteye (128 GB) ve 3D TLC mimarisine sahip. SK Hynix, 321 […]

Devamı: Yüksek Kapasiteli SSD’lerin Kapısı Aralanıyor: 321 Katmanlı TLC NAND Bellek Tanıtıldı
Kaynak Technopat

ABD ve AB Tedirgin: Çin, Eski Çip Teknolojilerine Büyük Yatırımlar Yapıyor

Tarafından: Fatih Işık
2 Ağustos 2023 at 21:00

Hatırlarsanız ABD, Çin’in gelişmiş çip üretim teknolojilerine erişimini engellemek amacıyla çeşitli kısıtlamalar getirmişti. Çinli şirketler ise buna yanıt olarak 28nm, 45nm ve daha eski çiplerin üretiminde kullanılan tesislerde kapasiteyi genişletmek için yatırım yapmaya başladı. Bloomberg‘in haberine göre ABD ve Avrupa bu durumdan endişe duyuyor: Batılı devletler, Çin’in piyasayı olgun çiplerle doldurarak rakiplerini saf dışı bırakması […]

Devamı: ABD ve AB Tedirgin: Çin, Eski Çip Teknolojilerine Büyük Yatırımlar Yapıyor
Kaynak Technopat

❌
❌